Şimdi Ara

FPGA , VHDL , Verilog nedir bilen varmı ?

Bu Konudaki Kullanıcılar:
4 Misafir (2 Mobil) - 2 Masaüstü2 Mobil
5 sn
9
Cevap
0
Favori
1.930
Tıklama
Daha Fazla
İstatistik
  • Konu İstatistikleri Yükleniyor
0 oy
Öne Çıkar
Sayfa: 1
Giriş
Mesaj
  • Her yerde bu terimlerle karşılaşıyorum ve tam olarak ne olduğunu anlamış değilim. Bilen biri cevap yazarsa sevinirim herkese kolay gelsin.



  • www.google.com +www.fpga-faq.com



    < Bu mesaj bu kişi tarafından değiştirildi HYP -- 16 Şubat 2005, 8:50:02 >
  • Kabaca tanımlarsam VHDL ve Verilog (HDL), amaçları aynı aslında, ikisi de donanım tanımlama dilleridir, adından da anlaşıldığı gibi (Very High Speed Integrated Circuit (VHSIC) Hardware Description Language), hatta bazen "Verilog Hardware Description Language" diye de geçer. Verilog, bitirme aşamasında kullanılır ve ABD'de daha baskındır, VHDL ise sistem seviyesinde simulasyonda kullanılır ve Avrupa'da daha baskındır. İkisi de aslında VLSI (Very Large Scale Integration) teknolojisinin ilerlemiş halidir.
    Ben de bir ara merak edip araştırmıştım VHDL i, ama çok karışık olduğu için erteledim. Bu arada ciddi ciddi öğrenmek istiyorsan VHDL i önce VLSI dan başla bence, bir de bayağı bir elektronik bilginin olması lazım, özellikle lojik tasarımı çok iyi bilmelisin. Çünkü onlar da ilerlemiş seviyede lojik tasarım teknikleridir, binlerce, hatta milyonlarca elemanın (transistor, direnc, kond, vs) küçük bir yapıya sıkıştırılmasından bahsediyorum (çip yani).

    Aşağıdaki linkler işine yarar, özellikle en baştaki VHDL kitabının pdf formatı, onu mutlaka indir!

    VHDL:
    tech-www.informatik.uni-hamburg.de/ vhdl/doc/cookbook/VHDL-Cookbook.pdf
    http://tech-www.informatik.uni-hamburg.de/vhdl/
    http://www.cs.ucr.edu/content/esd/labs/tutorial/

    Verilog:
    http://www.verilog.com/
    http://www.verilog.net/

    FPGA hakkında pek bilgim yok, sağda solda duyuyorum sadece, ama biraz araştırdım senin için. Açılımı "Field-Programmable Gate Array", en basit açıklamasıyla programlanabilen lojik çipler diyebilirim, PIC gibi mikrodenetleyiciler yada mikroişlemciler.

    Anladığım kadarıyla (yanlışsam özür dilerim ve lütfen düzeltin) VHDL donanım (modem, ekran kartı,v.s.) programramlamaya yönelik bir dil. FPGA da mikrodenetleyici yada mikroişlemci programlamaya yönelik, assembly in daha üstünde diyebilirim. İksi de çok ağır ve karışık yani.

    FPGA linkleri:
    http://www.eecg.toronto.edu/EECG/RESEARCH/FPGA.html
    http://www.fpgacpu.org/

    Bu arada, merak ettim, bunları neden öğrenmek istiyorsun? Mikroişlemci falan mı yapmak istiyorsun acaba?
  • yok canım şu embedded board tasarımında birşeyler yapalım bana yeter :) Boreas LINUX adında elektronikçiler için bir işletim sistemi geliştiriyorumda bu tür yazılımlara ne kadar ağırlık vermem gerekir onu bilmek için.
  • quote:

    Orjinalden alıntı: zarkabul
    Ben de bir ara merak edip araştırmıştım VHDL i, ama çok karışık olduğu için erteledim. Bu arada ciddi ciddi öğrenmek istiyorsan VHDL i önce VLSI dan başla bence, bir de bayağı bir elektronik bilginin olması lazım, özellikle lojik tasarımı çok iyi bilmelisin. Çünkü onlar da ilerlemiş seviyede lojik tasarım teknikleridir, binlerce, hatta milyonlarca elemanın (transistor, direnc, kond, vs) küçük bir yapıya sıkıştırılmasından bahsediyorum (çip yani).

    Anladığım kadarıyla (yanlışsam özür dilerim ve lütfen düzeltin) VHDL donanım (modem, ekran kartı,v.s.) programramlamaya yönelik bir dil. FPGA da mikrodenetleyici yada mikroişlemci programlamaya yönelik, assembly in daha üstünde diyebilirim. İksi de çok ağır ve karışık yani.



    FPGA ile uğraşmak için VLSI bilmeye gerek yoktur. VLSI bambaşka bir deryadır; MOS'ların en ve boylarına kadar herşeyi ayarlaman gerekir. Aşırı derecede temel elektronik bilgisi gerekir. FPGA'de ise içinde bazı lojik birimler bulunan hücreler (cell) vardır ve bunları birbirine kod yazarak veya şematik olarak bağlarsın. FGPA ile tasarımlanan bir tümdevre hiçbir zaman VLSI'daki kadar hızlı olmaz, ancak VLSI ile bir tasarım yapmak FPGA ile aynı tasarımı yapmaktan çok daha uzun sürer. Bir de VLSI üretim maliyeti filan vardır onlar başka konular. Unutmadan FPGA "assembly in daha üstünde" programlama dili değildir.

    Boreas; Eğer gerçekten bu konuda temel bilgiler edinmek istiyorsan nette onlarca tutorial var. 1 saatini ayırman yetecektir.
  • Çok temel bazı bilgileri veren bir link var;http://en.wikipedia.org/wiki/FPGA sorularının cevapları mevcut.
  • Açıklamaların için sağol HYP, beni aydınlattın gerçekten
  • Arkadaşlar hepinize verdiğiniz bağlantılar ve genel bilgiler için çok teşekkür ederim.
  • 
Sayfa: 1
- x
Bildirim
mesajınız kopyalandı (ctrl+v) yapıştırmak istediğiniz yere yapıştırabilirsiniz.