Şimdi Ara

Intel artık zirvede: İşte Intel 18A (1.8nm), 14A (1.4nm) ve yeni yol haritası

Daha Fazla
Bu Konudaki Kullanıcılar: Daha Az
2 Misafir - 2 Masaüstü
5 sn
20
Cevap
0
Favori
639
Tıklama
Daha Fazla
İstatistik
  • Konu İstatistikleri Yükleniyor
7 oy
Öne Çıkar
Sayfa: 1
Giriş
Mesaj
  • Intel artık zirvede: İşte Intel 18A (1.8nm), 14A (1.4nm) ve yeni yol haritası



    Intel, düzenlediği Intel Foundry Services (IFS) Direct Connect 2024 etkinliği kapsamında sektör için çok önemli açıklamalarda bulundu. Firma, Intel Foundry birimi ile diğer rakiplerine üretim yapma arzusunu beyan ederken Microsoft ile 15 milyar dolardan fazla bir değere sahip olan bir çip üretim anlaşması yaptı. Intel ayrıca sektörün ilk High-NA EUV kullanan yeni 14A üretim sürecini içeren ilk yol haritasını açıklayarak tüm rakiplerinden önde olduğunu gösterdi.



    Intel, ilk olarak bugüne kadar Intel Foundry Services olarak bilinen üretim biriminin adını değiştirerek Intel Foundry yaptı. Bu birim için yıl sonuna kadar tüzel kişilik oluşturulacak. Intel Foundry, 2030 yılına kadar Samsung’u geçerek dünyanın en büyük ikinci dökümhanesi olmayı hedefliyor. Firma, Intel Foundry’yi dünyanın ilk “sistem dökümhanesi” olarak nitelendiriyor. Bunun nedeni Intel’in bu birimiyle şirketlere çeşitli türlerde işlemciler üretecek olması ve aynı zamanda paketleme, soğutma ve bağlantı çözümleri sağlayacak olması.



    Intel artık zirvede: İşte Intel 18A (1.8nm), 14A (1.4nm) ve yeni yol haritası
    Yeni yol haritasına geçemeden önce Intel’in 4 yılda 5 üretim süreci hedeflerinin gerçekleşmek üzere olduğunu görüyoruz. 2021’de başlayan plan kapsamında Intel 7 ve Intel 4 süreçleri piyasada, Intel 3 yüksek hacimli üretime hazır durumdayken Intel 20A (2nm) ve Intel 18A (1.8nm) için PowerVia arka taraf güç dağıtımı ve RibbonFET gate-all-around (GAA) transistörlere sahip Intel'in ilk süreçleri olma yolunda hızla ilerliyor.



    Ayrıca Bkz.Microsoft ve Intel arasında milyarlarca dolarlık tarihi çip anlaşması



    Intel, Clearwater Forest Xeon E-Core CPU'larında sona geldiğini ve 18A'nın 2024 yılının 2. çeyreğinde tam ürün tasarımı için hazır olduğunu açıkladı. Intel, Clearwater Forest hakkında son birkaç haftadır duyduğumuz birkaç bilgiyi de doğruladı. Bunlarda aşağıdaki teknolojileri göreceğiz:




    • PowerVia

    • RibbonFET

    • Intel 3 temel kalıbı

    • EMIB

    • Foveros 3D istifleme



    Clearwater Forest tasarımı, Granite Rapids ve Sierra Forest işlemcilerde gördüğümüz mimariler ile benzer ancak 3D Foveros paketlemesinin eklenmesi çok önemli. Mantık kalıplarını temel kalıpla bira araya getirmek HBM4 kullanan çiplerde kritik öneme sahip olacak. Geniş açıdan bakıldığında bunların bellek bant genişliğine aç yapay zeka çipleri için önemli olduğu görülebiliyor. Clearwater Forest ayrıca Chiplet Interconnect Express'i (UCIe) kullanan ilk yüksek hacimli aile olacak. Intel, AMD, Arm, Nvidia, TSMC, Samsung ve diğer 120 şirket tarafından desteklenen bu arayüz, iki yonga arasındaki kalıptan kalıba ara bağlantıları açık kaynaklı hale getirerek standartlaştırıyor.



    Intel 14A süreci ve gelecek yol haritası



    Intel artık zirvede: İşte Intel 18A (1.8nm), 14A (1.4nm) ve yeni yol haritası
    4 yılda 5 üretim süreci hedefinin sonraki aşamasını gösteren firma bizlere Intel 14A sürecini sergiledi.



    14A sürecinin duyurulması, Intel'in 'beş yılda dört süreç olarak bilinen ve artık neredeyse tamamlanmış olan yol haritasını takip ediyor. Bu yol haritası 12., 13. ve 14. Nesil işlemcilerin yapımında kullanılan Intel 7 sürecinin piyasaya sürülmesiyle başladı. Meteor Lake ailesinde Intel 4 sürecinin kullanıldığını gördük. Intel 3, Sierra Forest ve Granite Rapids Xeon aileleri için kullanıldığından tüketiciler nezdinde pek görülmedi.



    20A, Intel'in yılın ilerleyen zamanlarında çıkması ve mevcut 14. Nesil masaüstü serisinin yerini alması beklenen Arrow Lake CPU ailesini oluşturmak için kullanacağı süreç olacak. 20A'nın ötesinde, 2024 yılında üretime başlaması planlanan 18A yer alıyor. Intel'in yeni nesil Panther Lake CPU ailesinin bu süreç üzerinde inşa edilmesi bekleniyor



    Öte yandan Intel 14A oldukça önemli çünkü ASML'nin High-NA EUV litografi makinelerini kullanan ilk süreç teknolojisi olacak. Yeni nesil High-NA EUV makinelerinin tanesi 400 milyon dolar ve Intel, bu makinelere ilk erişen şirket konumunda. Intel, 14A sürecinin 2027’ye kadar geleceğini belirtse de herhangi bir performans detayı ve hedefi paylaşmadı. Bununla birlikte, yeni nesil PowerVia arka taraf güç dağıtımı (muhtemelen Source-on-Contact) ve RibbonFET GAA transistörleri ile geleceği belirtiliyor.



    İlginç bir şekilde yol haritasında iki çeşit ürün görünüyor: 14A ve ardından 14A-E. E, Intel'in TSMC ve Samsung'da olduğu gibi mevcut süreç düğümlerini geliştiren yineleme (TSMC N3, N3E vb.) yaklaşımı anlamına geliyor.



    Bu arada Intel sadece “E” takısını değil ayrıca "P" ve "T" eklerini de gösteriyor. "P", standart varyantına göre %10'a varan kazanımlarla daha yüksek performansı temsil ederken "T", 3D Foveros Direct teknolojisinin bir parçası olacak TSV'lerin veya Through-Silicon Vias'ların kullanımını temsil edecek.




    Kaynak:https://wccftech.com/intel-adds-14a-process-node-to-its-roadmap-updates-to-18a-intel-3-nodes-unveiled/
    Kaynak:https://www.intc.com/news-events/press-releases/detail/1675/intel-launches-worlds-first-systems-foundry-designed-for
    Kaynak:https://www.tomshardware.com/pc-components/cpus/intel-announces-new-roadmap-at-ifs-direct-connect-2024-new-14a-node-clearwater-forest-taped-in-five-nodes-in-four-years-remains-on-track







  • 14A++++++ diye dalga geçilir artık.Intel artık zirvede: İşte Intel 18A (1.8nm), 14A (1.4nm) ve yeni yol haritası 

  • Son 14.nesli bile 10 nm ile piyasaya süren intel mi?

    < Bu ileti Android uygulamasından atıldı >
  • Nevfel kullanıcısına yanıt
    cokda tsmc ağzından konuşmasak mi 😅

    < Bu ileti Android uygulamasından atıldı >
  • Ölmez sağ kalırsak 2027 en erken haberde geçen üretim zamanı ama donanımhaber e göre şuan intel artık zirvede..... Nassı bi ilişki bu? Bu heyecan nedir böyle?

  • tokatli6061 T kullanıcısına yanıt

    Heyecanın nedeni fanboy olmaları. Kutsal üçgen nvidia intel apil


    < Bu ileti mobil sürüm kullanılarak atıldı >
  • tokatli6061 T kullanıcısına yanıt
    2nm'de en erken üretim hedefi Intel'de.

  • Haber yine intel reklamı gibi fakat dh'nin bir suçu yok, tüm sektörde etkisi olduğu için böyle haberler yaptırılıyor, intel yöneticileri zaten konuşmaya meraklı ve hevesliler, sıkıntı şurada piyasa eskisi gibi değil ama intel aynı tas aynı hamam, üretim teknolojileri sizin iyi olduğunuz bir alandı aksadınız geri kaldınız bir dönem gitti geçtik bu günlere geldik, intel bir şekilde düzelse de düzen değiştiği için şartlar mevcut avantajlarını eskisi gibi destekleyecek durumda değil, faaliyet alanlarındaki rakipleri güçlü dinamik ve yol haritaları var, mevcut ürünlerin rekabetini kıracak bir teknoloji çıkarmış değilsiniz, apple bir yandan amd bir yandan alıp başını gitmiş durumda, çin'in birkaç yıl içinde kendi işlemcilerini ticari ürün olarak göreceğimizi öngörebiliriz ki tüm bunları sizin aşama aşama küçüleceğinizin işareti, zaman herşeyin ilacı bekleyelim görelim.

    Kemikleşmiş olduğunuz alanlarda ciddi kayıplarınız var, geleceğe dair atıp tuttuğunuz tek şek üretim metodları hepsi o kadar, rakiplerinizin mevcut ürünlerini ezip geçtiğiniz yada yakında bu durumu değiştirecek bir haberde vermiyorsunuz varsa yoksa PR algı vs yancı metodlar.





  • bizim üretim tekniğimizi yanlış hesaplıyorlar diye ağlayan intel değil miydi? Yani demek ki intelin 14ası tsmcnin 5nmsine falan denk geliyordur.

  • 10 yıldır yol haritası açıklıyorlar icraat yok.

    < Bu ileti iOS uygulamasından atıldı >
  • yıl 2035 ilk 4nm+++++++++++ intel tarafından üretildi, amd nin 5A sına kafa tutanağını söyleyen intel, 300watt tüketimle 1 ailenin ısınma sorununu hallettiklerini söylediler.
    Ülkelerin Enerji Bakanlığı Yetkililerinden alınan bilgiye göre; gerçekte 400watt yakmakta olan işlemci ile hane halkının doğalgaz kullanımı ciddi oranda düşmekte ise de santraller elektrik üretmek için daha fazla doğalgaz kullanmaya başlamışlardır.

  • BİİZM KUMTEL İNTELDEN İYİ:)

  • Önümüdeki 1-2 yıl tüketici işlemcileri için önemli bir dönüm noktası. TSMC'nin 3nm üretimleri, Intel'in 20A-18A süreçleri vb gibi piyasada rekabet edecek teknolojiler olacak. Bakalım Intel, AMD'nin 3nm Ryzen işlemcileri karşısında ne kadar bir başarı gösterecek. Şu an 300-350W enerji tüketimi ve soğutulamayan işlemcilerinden dolayı biraz mesafeliyim kendilerine. İlerde işler değişirse, tekrar bakarız.



    < Bu mesaj bu kişi tarafından değiştirildi cleancoder -- 23 Şubat 2024; 18:53:43 >
  • Metin Yasir Akpınar kullanıcısına yanıt

    < Bu ileti mobil sürüm kullanılarak atıldı >
  • tokatli6061 T kullanıcısına yanıt
    2nm'de erteleme yaşanacağı söyleniyor. 2025'e yetişmeme ihtimali bulunuyor.

    < Bu ileti Android uygulamasından atıldı >
  • kumtel yerli ve milli işlemci markamız

  • Ucuza daha iyisini yapan rakibi varken neden intel almalıyım sorusunun cevabı hala yok. Ayrıca bu neyin zirvesi ?



    Intel artık zirvede: İşte Intel 18A (1.8nm), 14A (1.4nm) ve yeni yol haritası
  • Ne kadar intel kullanmıyor olsam da yüksek watt ve ısı sorununu çözerlerse bizim için bir seçenek olabilir.

  • yolun sonu görünüyor artık oyalama taktiklerine geçildiği aşikar cpu gelişimi durma noktasında ki bir silikon atomun equvalens yarı çapının 0.5 nanometre olduğu düşünülürse gerçektende 3 nanometreden sonrasında işlemci üretiminde artık kuantum dinamikleri ciddi zorlayıcı olacaktır. önümüzdeki senelerde klasik işlemci mimarisinin ölümünün gerçekleşeceği seneler olacak gibi transistör dönemi bitiyor sanırım nasıl ki zamanında ampul döneminin bittiği gibi yeni bir icat olmazsa uzun bir süre hız ve gelişim zor olacaktır

  • 
Sayfa: 1
- x
Bildirim
mesajınız kopyalandı (ctrl+v) yapıştırmak istediğiniz yere yapıştırabilirsiniz.